Article ID: 000085567 Content Type: Troubleshooting Last Reviewed: 04/13/2023

Why does the Platform Designer system generation get stuck when running a Pearl script for an on-chip memory component?

Environment

  • Quartus® II Software
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Description

    The Platform Designer generation may get stuck when executing a Pearl script associated with an on-chip memory component. In the "Generate" window, you might see the process stopped with the following message:

    Info: onchip_memory_16bit:   Generation command is [exec C:/altera/13.0sp1/quartus/bin/perl/bin/perl.exe -I C:/altera/13.0sp1/quartus/common/ip/altera/common/perl/5.8.3 -I C:/altera/13.0sp1/quartus/sopc_builder/bin/europa -I C:/altera/13.0sp1/quartus/sopc_builder/bin/perl_lib -I C:/altera/13.0sp1/quartus/sopc_builder/bin -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/common -I C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- C:/altera/13.0sp1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=my_system_onchip_memory_16bit --dir=C:/Users/xyz/AppData/Local/Temp/alt5967_7790742084512251913.dir/0002_onchip_memory_16bit_gen/ --quartus_dir=C:/altera/13.0sp1/quartus --verilog --config=C:/Users/xyz/AppData/Local/Temp/alt5967_7790742084512251913.dir/0002_onchip_memory_16bit_gen/
    /my_system_onchip_memory_16bit_component_configuration.pl  --do_build_sim=0  ]

    This problem was observed on Windows systems using the Quartus® II software v13.0/sp1.  To get out of the stuck condition, open the task manager and kill the process "perl.exe *32".  Killing the process will result in an error message in the "Generate Completed" window.

    Error: onchip_memory_16bit: Failed to generate module <memory component name>

    The following describes the root cause of this problem:

    If you enable the option "Enable In-System Memory Content Editor feature" in the On-Chip Memory (RAM or ROM) component, the Instance ID must be four characters long and contain no numbers.

    Resolution

    To work around this problem, use a 4-character Instance ID name without any numbers.

    This problem has been fixed in the Quartus® II software v14.0.

    Related Products

    This article applies to 1 products

    Cyclone® V E FPGA