Intel® Quartus® Prime Software Scripting Support

Find information about the comprehensive scripting support for command-line and tool command language (TCL) script design flows.

Quartus® II

The Tcl scripting application program interface (API) includes commands covering basic to advanced functionality.

The Quartus® II Scripting Reference Manual (PDF) provides a complete reference covering all command-line options and Tcl commands. The Quartus® II Settings File Reference Manual (PDF) lists and describes all QSF settings.

Use the Tcl API for any of the following tasks:

  • Creating and managing projects
  • Making assignments
  • Compiling designs
  • Extracting report data
  • Performing timing analysis

For example, the following Tcl script uses design files for the fir_filter tutorial design in the <qdesigns> directory. The script creates a project, makes pin, clock, and timing assignments, and compiles the design.
load_package flow
project_new fir_filter -revision filtref -overwrite
set_global_assignment -name FAMILY Cyclone
set_global_assignment -name DEVICE EP1C6F256C6
set_global_assignment -name BDF_FILE filtref.bdf
set_global_assignment -name TOP_LEVEL_ENTITY filtref
# You could make other pin assignments here
set_location_assignment -to clk Pin_G1
create_base_clock -fmax "100 MHz" -target clk clocka
create_relative_clock -base_clock clocka -divide 2 \

-offset "500 ps" -target clkx2 clockb

set_multicycle_assignment -from clk -to clkx2 2
execute_flow -compile
project_close
You can use the Command-Line and Tcl API Help Utility for a complete list of Tcl API commands, descriptions, and examples. Run the utility by typing the following at a command prompt:
quartus_sh --qhelp

Intel® FPGA provides Tcl design examples you can learn from, modify, and use in your own designs.