AN 803: Implementing Analog-to-Digital Converter Multi-Link Designs with Intel® Arria® 10 JESD204B RX IP Core

ID 683077
Date 2/06/2020
Public
Document Table of Contents

Editing Design Example Top-Level SDC Constraint for Synchronized ADC- Intel® Arria® 10 Multi-Link

At the set_clock_groups SDC constraint, add the clock domain of the newly added IP core.

Top-level SDC Constraint:

set_clock_groups -asynchronous -group {device_clk \
u_altera_jesd204_ed_qsys_RX|core_pll|core_pll|frame_clk \
u_altera_jesd204_ed_qsys_RX|core_pll|core_pll|link_clk \
u_altera_jesd204_ed_qsys_RX|altera_jesd204_subsystem_rx|altera_jesd204_rx|g_xcvr_native_insts[*]|rx_clk \
u_altera_jesd204_ed_qsys_RX|altera_jesd204_subsystem_rx|altera_jesd204_rx|g_xcvr_native_insts[*]|rx_clkout \
u_altera_jesd204_ed_qsys_RX|altera_jesd204_subsystem_rx|altera_jesd204_rx|g_xcvr_native_insts[*]|rx_coreclkin \
u_altera_jesd204_ed_qsys_RX|altera_jesd204_subsystem_rx|altera_jesd204_rx|g_xcvr_native_insts[*]|rx_pma_clk \
u_altera_jesd204_ed_qsys_RX|altera_jesd204_subsystem_rx|<IP core instance name> |g_xcvr_native_insts[*]|rx_clk \
u_altera_jesd204_ed_qsys_RX|altera_jesd204_subsystem_rx|<IP core instance name> |g_xcvr_native_insts[*]|rx_clkout \
u_altera_jesd204_ed_qsys_RX|altera_jesd204_subsystem_rx|<IP core instance name> |g_xcvr_native_insts[*]|rx_coreclkin \
u_altera_jesd204_ed_qsys_RX|altera_jesd204_subsystem_rx|<IP core instance name> |g_xcvr_native_insts[*]|rx_pma_clk} \
-group {mgmt_clk \
u_altera_jesd204_ed_qsys_RX|altera_jesd204_subsystem_rx|altera_jesd204_rx|g_xcvr_native_insts[*]|avmmclk \
u_altera_jesd204_ed_qsys_RX|altera_jesd204_subsystem_rx|<IP core instance name> |g_xcvr_native_insts[*]|avmmclk}

<ip core instance name> is the name for the duplicated copy of the altera_jesd204_RX IP core that you name in 3.

The following example has the newly added design entities:

set_clock_groups -asynchronous -group {device_clk \
u_altera_jesd204_ed_qsys_RX|core_pll|core_pll|frame_clk \
u_altera_jesd204_ed_qsys_RX|core_pll|core_pll|link_clk \
u_altera_jesd204_ed_qsys_RX|altera_jesd204_subsystem_rx|altera_jesd204_rx|g_xcvr_native_insts[*]|rx_clk \
u_altera_jesd204_ed_qsys_RX|altera_jesd204_subsystem_rx|altera_jesd204_rx|g_xcvr_native_insts[*]|rx_clkout \
u_altera_jesd204_ed_qsys_RX|altera_jesd204_subsystem_rx|altera_jesd204_rx|g_xcvr_native_insts[*]|rx_coreclkin \
u_altera_jesd204_ed_qsys_RX|altera_jesd204_subsystem_rx|altera_jesd204_rx|g_xcvr_native_insts[*]|rx_pma_clk \
u_altera_jesd204_ed_qsys_RX|altera_jesd204_subsystem_rx|altera_jesd204_rx1|g_xcvr_native_insts[*]|rx_clk \
u_altera_jesd204_ed_qsys_RX|altera_jesd204_subsystem_rx|altera_jesd204_rx1|g_xcvr_native_insts[*]|rx_clkout \
u_altera_jesd204_ed_qsys_RX|altera_jesd204_subsystem_rx|altera_jesd204_rx1|g_xcvr_native_insts[*]|rx_coreclkin \
u_altera_jesd204_ed_qsys_RX|altera_jesd204_subsystem_rx|altera_jesd204_rx1|g_xcvr_native_insts[*]|rx_pma_clk} \
-group {mgmt_clk \
u_altera_jesd204_ed_qsys_RX|altera_jesd204_subsystem_rx|altera_jesd204_rx|g_xcvr_native_insts[*]|avmmclk \
u_altera_jesd204_ed_qsys_RX|altera_jesd204_subsystem_rx|altera_jesd204_rx1|g_xcvr_native_insts[*]|avmmclk}