Intel® Quartus® Prime Standard Edition User Guide: Third-party Simulation

ID 683080
Date 2/05/2024
Public
Document Table of Contents

2. Siemens EDA QuestaSim* Simulator Support

This chapter provides guidelines for simulation of Intel® Quartus® Prime designs with the supported Siemens EDA QuestaSim* simulators.
Note: Intel also provides the Questa* Intel® FPGA Edition simulator, a version of the Questa* Advanced simulator targeted for Intel FPGA devices. The Questa* Intel® FPGA Edition simulator supports the Intel FPGA gate-level simulation libraries, and includes behavioral simulation, HDL test benches, and Tcl scripting support. Refer to the Questa* Intel® FPGA Edition Simulation User Guide for complete information.