Quartus® Prime Pro Edition Settings File Reference Manual

ID 683296
Date 4/01/2024
Public
Document Table of Contents

OPTIMIZE_MULTI_CORNER_TIMING

Controls whether the Fitter optimizes a design to meet timing requirements at all process corners and operating conditions. The Optimize Timing logic option must be enabled for this option to work. When this setting is turned off, designs are optimized to meet timing only at the slow timing process corner and operating condition. When this option is turned on, designs are optimized to meet timing at all corners and operating conditions; as a result, turning on this option helps create a design implementation that is more robust across process, temperature, and voltage variations.\r\n\r\nTurning on this option does not enable multicorner support for the Timing Analyzer and EDA Netlist Writer. To enable multicorner support for the Timing Analyzer and EDA Netlist Writer, see the Compilation Process Settings page of the Settings dialog box.

Old Name

OPTIMIZE_FAST_CORNER_TIMING, Optimize Fast-Corner Timing

Type

Boolean

Device Support

  • Intel Agilex® 5
  • Intel Agilex® 7
  • Arria® 10
  • Cyclone® 10 GX
  • EPC1
  • EPC2
  • Enhanced Configuration Devices
  • Flash Memory
  • Stratix® 10

Notes

This assignment is included in the Fitter report.

Syntax

set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING <value>