AN 796: Cyclone® V and Arria® V SoC Device Design Guidelines

ID 683360
Date 3/30/2022
Public
Document Table of Contents

B.1. Cyclone® V and Arria® V SoC Device Guidelines Revision History

Document Version Description
2022.03.30 Added a note in HPS Pin Assignment Design Considerations about how to handle the msr.dcts register value.
2020.07.27 The following sections were updated:
  • Embedded Software Design Guidelines for SoC FPGAs section:
    • Added Source Code Management Considerations
    • Added SD Card Low Power Mode Design Considerations
  • Design Guidelines for HPS portion of SoC FPGAs section:
    • Avoid ACP Dependency Lookup
2019.07.16 Added HPS Address Mirroring under the Design Guidelines for HPS portion of SoC FPGAs section to describe HPS SDRAM mirror rank support in SoC.
2018.06.18 Updated the Early Power Estimation section to include information for CVSoC L.
2017.12.22
  • Update product names
  • "Background: Comparison between SoC FPGA and SoC FPGA HPS Subsystem" chapter:
    • Remove overview and block diagram of L3 interconnect
    • Remove SDRAM controller block diagram
    • Clarify description of FPGA-to-SDRAM access
    • Remove detailed descriptions of HPS-FPGA system topologies
    • Guidelines added:
      • Use the lightweight HPS-to-FPGA bridge to connect IP that needs to be controlled by the HPS.
      • Do not use the lightweight HPS-to-FPGA bridge for FPGA memory. Instead use the HPS-to-FPGA bridge for memory.
      • Use the HPS-to-FPGA bridge to connect memory hosted by the FPGA to the HPS..
      • If memory connected to the HPS-to-FPGA bridge is used for HPS boot, ensure that its slave address is set to 0x0 in Platform Designer (Standard).
      • Use the FPGA-to-HPS bridge for cacheable accesses to the HPS from masters in the FPGA.
      • Use the FPGA-to-HPS bridge to access cache-coherent memory, peripherals, or on-chip RAM in the HPS from masters in the FPGA.
      • Use the FPGA-to-SDRAM ports for non-cacheable access to the HPS SDRAM from masters in the FPGA.
  • "Design Guidelines for HPS portion of SoC FPGAs" chapter:
    • Recommend the Cyclone® V HPS-FPGA Bridge Reference Design Example instead of the Cyclone® V Datamover Design Example
    • GPIO not recommended for high-speed serial interfaces
    • Guidelines added:
      • Use the Golden System Reference Design (GSRD) as a starting point for a loosely coupled system.
      • Use the Cyclone® V HPS-to-FPGA Bridge Design Example reference design to determine your optimum burst length and data-width for accesses between FPGA logic and HPS.
    • Guidelines removed:
      • Intel® recommends that you use the Golden System Reference Design (GSRD) as a starting point for a loosely coupled system.
      • Intel recommends that you use the Cyclone® V HPS-FPGA Bridge Reference Design Example to optimize your hardware design and software solutions to achieve high performance real time application with HPS ARM processor.
  • "Board Design Guidelines for SoC FPGAs" chapter:
    • RGMII supported by HPS dedicated I/O
    • Guidelines added:
      • If your design uses QSPI flash with 4-byte addressing, design the board to ensure that the QSPI flash is reset or power-cycled whenever the HPS is reset.
      • If your SPI peripheral requires the SPI master slave select to stay low during the entire transaction period, consider using GPIO as slave select, or configure the SPI master to assert slave select during the transaction.
      • Ensure that the SD/MMC card is reset whenever the HPS is reset.
      • For bare-metal applications, avoid using a QSPI flash device larger than 16 MB
      • With a QSPI device larger than 16 MB, use QSPI extended 4-byte addressing commands if supported by the device
  • "Embedded Software Design Guidelines for SoC FPGAs" chapter:
    • Reference DTB for NAND-based boot no longer supplied
    • Clarify NAND flash interface type required for booting support
2017.02.20 Initial Release