AN 741: Remote System Upgrade for MAX 10 FPGA Devices over UART with the Nios II Processor

ID 683661
Date 2/21/2017
Public
Document Table of Contents

1.7.2. Programming the QSPI

To program the Nios II application code into the QSPI flash, perform the following steps:

  1. On the MAX 10 FPGA Development Kit, switch the MAX10_BYPASSn to 0 to bypass on-board VTAP (MAX II) device.
  2. Connect the Intel FPGA Download Cable (formerly USB Blaster) to the JTAG header.
  3. In the Programmer window, click Hardware Setup and select USB Blaster.
  4. In the Mode list, select JTAG.
  5. Click Auto Detect button on the left pane.
  6. Select the device to be programmed, and click Add File.
  7. Select the pfl.sof.
  8. Click Start to start programming.
  9. After programming is successful, without turning-off the board, click Auto Detect button on the left pane again. You will see a QSPI_512Mb flash appear in the programmer window.
  10. Select the QSPI device, and click Add File.
  11. Select the .pof file generated previously from .hex file.
  12. Click Start to start programming the QSPI flash.