Intel® Quartus® Prime Pro Edition: Version 22.1 Software and Device Support Release Notes

ID 683706
Date 4/04/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

1.5.1. Changes in Device Support

Starting with Intel® Quartus® Prime Version 20.1, a new device support level was introduced: Preliminary device support.

For devices with Preliminary device support, Intel® Quartus® Prime provides full compilation, simulation, timing analysis, and programming support but the device models, bitstreams, and firmware for the devices are not finalized.

Devices with Final device support (previously Full ) have finalized device models, bitstreams, and firmware.

The definitions of Initial and Advance device support levels are unchanged.

For information about known device issues and workarounds, refer to the following web page: Intel FPGA Knowledge Base.

Changed Device Support

Support for the following devices moves from Advance device support to Preliminary device support:
  • AGFA019, AGFA023
  • AGFB019, AGFB023
  • AGFC019, AGFC023
  • AGFD019, AGFD023