AN 784: Partial Reconfiguration over PCI Express* Reference Design for Intel® Arria® 10 Devices

ID 683856
Date 9/24/2018
Public
Document Table of Contents

1.4. Compiling the Reference Design

  1. To compile the base revision of the reference design, run the following command from the project directory level:
    quartus_sh --flow compile a10_pcie_devkit_cvp -c a10_pcie_devkit_cvp
    All the implementation revisions, except the base revision contain the following QDB file partition assignment in their respective .qsf files:
    
    set_instance_assignment -name QDB_FILE_PARTITION \
          output_files/a10_pcie_devkit_cvp_static.qdb -to |
    This assignment imports the .qdb file representing the reference design static region logic into the subsequent PR persona implementation compile. Each implementation revision also contains an ENTITY_REBINDING assignment. This assignment links the hierarchy of the static region and the hierarchy of the PR persona. For example, a10_pcie_devkit_cvp_basic_arithmetic.qsf contains the following entity rebinding assignment:
    set_instance_assignment -name ENTITY_REBINDING \
          basic_arithmetic_persona_top -to \
          u_top|design_core|pr_region_wrapper|pr_persona_wrapper|u_pr_logic
    For more information, please refer to the Partial Reconfiguration Design Flow section in the Partial Reconfiguration User Guide.
  2. To compile the PR implementation revisions that represent the PR personas, run the following commands:
    
    quartus_sh --flow compile a10_pcie_devkit_cvp -c \
          a10_pcie_devkit_cvp_basic_arithmetic
    quartus_sh --flow compile a10_pcie_devkit_cvp -c \
          a10_pcie_devkit_cvp_basic_dsp
    quartus_sh --flow compile a10_pcie_devkit_cvp -c \
          a10_pcie_devkit_cvp_gol
    quartus_sh --flow compile a10_pcie_devkit_cvp -c \
          a10_pcie_devkit_cvp_ddr4_access