External Memory Interfaces Intel Agilex® 7 M-Series FPGA IP Design Example User Guide

ID 772632
Date 6/26/2023
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

3.2.1. Running Simulation

To run a simulation, navigate to the simulation directory <example_design_directory>/sim/ed_sim/ and run the simulation script of your choice.

For ModelSim* SE and Siemens* EDA QuestaSim*- Intel FPGA Edition Simulators

Working directory: <example_design_directory>/sim/ed_sim/mentor

  1. Invoke vsim by typing vsim, which launches a window where you can run the following commands.
  2. Change directory to the design example simulator directory (File > Change directory.
  3. Run the following commands on the transcript terminal:
    1. Do: source msim_setup.tcl
    2. ld_debug
    3. run -all
  4. A successful simulation ends with the following message: Simulation stopped due to successful completion!

For VCS Simulator

Working directory: <example_design_directory>/sim/ed_sim/synopsys/vcs

  1. On a single line, type:
    sh vcs_setup.sh USER_DEFINED_COMPILE_OPTIONS="" USER_DEFINED_ELAB_OPTIONS="-xlrm\ uniq_prior_final" USER_DEFINED_SIM_OPTIONS=""
  2. A successful simulation ends with the following message: Simulation stopped due to successful completion!

To run a simulation in interactive mode, follow these steps:

Note: If you have already generated a simv executable in noninteractive mode, delete the simv and simv.diadir.
  1. Open the vcs_setup.sh file and add a debug option to the VCS command: vcs -debug_access+r
  2. Compile the design example: sh vcs_setup.sh USER_DEFINED_ELAB_OPTIONS="- xlrm\ uniq_prior_final" SKIP_SIM=1
  3. Start the simulation in interactive mode: simv -gui &