H-tile Hard IP for Ethernet Intel® Stratix® 10 FPGA IP Design Example User Guide

ID 683314
Date 1/27/2021
Public
Document Table of Contents

1.1. Directory Structure

Figure 2.  H-Tile Hard IP for Ethernet Intel FPGA Design Example Directory Structure

The hardware configuration and test files (the hardware design example) are located in <design_example_dir>/hardware_test_design. The simulation files (testbench for simulation only) are located in <design_example_dir>/example_testbench. The compilation-only design example is located in <design_example_dir>/compilation_test_design.

Table 1.   H-Tile Hard IP for Ethernet Intel FPGA IP Core Testbench File Descriptions

File Names

Description

Key Testbench and Simulation Files

basic_avl_tb_top.sv Top-level testbench file. The testbench instantiates the DUT and runs Verilog HDL tasks to generate and accept packets.

Testbench Scripts

run_vsim.do

The Mentor Graphics ModelSim* script to run the testbench.

run_vcs.sh

The Synopsys VCS* script to run the testbench.

run_vcsmx.sh The Synopsys VCS MX* script (combined Verilog HDL and System Verilog with VHDL) to run the testbench.
run_ncsim.sh

The Cadence NCSim* script to run the testbench.

run_xcelium.sh The Xcelium* script to run the testbench.
Table 2.   H-Tile Hard IP for Ethernet Intel FPGA IP Core Hardware Design Example File Descriptions

File Names

Description

alt_ehip2.qpf Intel® Quartus® Prime project file
alt_ehip2.qsf Intel® Quartus® Prime project settings file
alt_ehip2.sdc Synopsys Design Constraints files. You can copy and modify these files for your own H-Tile Hard IP for Ethernet Intel FPGA design.
alt_ehip2.v Top-level Verilog HDL design example file
common/ Hardware design example support files
hwtest/main.tcl

Main file for accessing System Console