F-Tile Avalon® Streaming Intel® FPGA IP for PCI Express* Design Example User Guide

ID 683372
Date 1/29/2024
Public
Document Table of Contents

3.3.1.1. Steps to Run Simulation : VCS*

Working Directory

<example_design>/pcie_ed_tb/pcie_ed_tb/sim/synopsys/vcs/

Instructions

  1. Run the following commands:

    For FASTSIM mode:
    sh vcs_setup.sh USER_DEFINED_COMPILE_OPTIONS="" USER_DEFINED_ELAB_OPTIONS="+vcs+lic+wait\ -full64\ -hsopt=gates\ -debug_pp\ +define+RTLSIM\  +define+IP7581SERDES_UX_SIMSPEED\ +define+SSM_SEQUENCE\ " USER_DEFINED_SIM_OPTIONS="" | tee simulation.log 
    For non-FASTSIM mode:
    sh vcs_setup.sh USER_DEFINED_COMPILE_OPTIONS="" USER_DEFINED_ELAB_OPTIONS="+vcs+lic+wait\ -full64\ -hsopt=gates\ -debug_pp\ +define+RTLSIM\ +define+SSM_SEQUENCE\" USER_DEFINED_SIM_OPTIONS="" | tee simulation.log
    Note: The commands above are single-line commands.
  2. A successful simulation ends with the following message,
    "Simulation stopped due to successful completion!"
    in the simulation.log file that was generated.
Note: To run a simulation in interactive mode, use the following steps: (if you already generated a simv executable in noninteractive mode, delete the simv and simv.diadir)
  1. Open the vcs_setup.sh file and add a debug option to the VCS command:
    vcs -debug_access+all
  2. Compile the design example:

    For FASTSIM mode:
    sh vcs_setup.sh USER_DEFINED_ELAB_OPTIONS="+vcs+lic+wait\ -full64\ -hsopt=gates\ -debug_pp\ +define+RTLSIM\ +define+IP7581SERDES_UX_SIMSPEED\ +define+SSM_SEQUENCE\ " SKIP_SIM=1
    For non-FASTSIM mode:
    sh vcs_setup.sh USER_DEFINED_ELAB_OPTIONS="+vcs+lic+wait\ -full64\ -hsopt=gates\ -debug_pp\ +define+RTLSIM\ +define+SSM_SEQUENCE\ " SKIP_SIM=1
    Note: The commands above are single-line commands.
  3. Start the simulation in interactive mode:
    simv -gui &