F-Tile Avalon® Streaming Intel® FPGA IP for PCI Express* Design Example User Guide

ID 683372
Date 1/29/2024
Public
Document Table of Contents

3.3.1. Steps to Run Simulation

The simulation reports "Simulation stopped due to successful completion" if no errors occur.

The same procedure is applicable for PCIe Gen3/4 x16, PCIe Gen3/4 x8x8 and PCIe Gen3/4 x8 design example variants.

Note: In Intel® Quartus® Prime Software 23.3 version, the simulation for VCS* , and Xcelium* shows an error indicating problem related to combination of drivers or multiple drivers. You must add a switch as shown below to fix this error.
For VCS:
  • add -ignore\ initializer_driver_checks\
  • Example one line command:
    sh vcs_setup.sh USER_DEFINED_COMPILE_OPTIONS="" USER_DEFINED_ELAB_OPTIONS="- ignore\ initializer_driver_checks\ +vcs+lic+wait\ -full64\ -hsopt=gates\ - debug_pp\ +define+RTLSIM\ +define+IP7581SERDES_UX_SIMSPEED\ +define +SSM_SEQUENCE\ " USER_DEFINED_SIM_OPTIONS="" | tee simulation.log
For Xcelium:
  • add -warn_multiple_driver\
  • Example one line command:
    sh xcelium_setup.sh USER_DEFINED_VERILOG_COMPILE_OPTIONS="+define+RTLSIM\ +define+IP7581SERDES_UX_SIMSPEED\ +define+SSM_SEQUENCE\ -sv\" USER_DEFINED_ELAB_OPTIONS="-warn_multiple_driver\ -timescale\ 1ns/1ps" USER_DEFINED_SIM_OPTIONS="- input\ @run" | tee simulation.log