Low Latency 40- and 100-Gbps Ethernet MAC and PHY MegaCore Function User Guide

ID 683628
Date 12/28/2017
Public
Document Table of Contents

2.9.4. Simulating with the NCSim Simulator

To run the simulation in the supported versions of the NCSim simulation tool, follow these steps:

  1. Change directory to the <example_design_install_dir>/example_testbench directory.
  2. In the command line, type: sh run_ncsim.sh

    The example testbench will run and pass.