Intel® Quartus® Prime Pro Edition User Guide: Debug Tools

ID 683819
Date 12/04/2023
Public
Document Table of Contents

3.14.1. Signal Tap Command-Line Options

You can use the following options with the quartus_stp executable:

Table 29.  quartus_stp Command-Line Options
Option Usage Description
--stp_file <stp_filename> Required Specifies the name of the .stp file.
--enable Optional

Sets the ENABLE_SIGNALTAP option to ON in the project's .qsf file, so the Signal Tap logic analyzer runs in the next compilation.

If you omit this option, the Intel® Quartus® Prime software uses the current value of ENABLE_SIGNALTAP in the .qsf file.

Writes subsequent Signal Tap assignments to the .stp that appears in the .qsf file. If the .qsf file does not specify a .stp file, you must use the --stp_file option.

--disable Optional

Sets the ENABLE_SIGNALTAP option to OFF in the project's .qsf file, so the Signal Tap logic analyzer does not in the next compilation.

If you omit the --disable option, the Intel® Quartus® Prime software uses the current value of ENABLE_SIGNALTAP in the .qsf file.