Quartus® Prime Pro Edition User Guide: Third-party Simulation

ID 683870
Date 4/01/2024
Public
Document Table of Contents

1.9.2.3. Launching Simulation with the Run Simulation Feature

After providing all the required and optional simulation settings, you can launch simulation with the Run Simulation feature in the GUI (simulator window launched) or batch (simulator window not launched) mode.

  • To run RTL simulation in GUI mode (simulator window launched):
    set_global_assignment -name EDA_LAUNCH_CMD_LINE_TOOL OFF -section_id eda_simulation
    execute_flow -simulation
  • To run RTL simulation in batch mode (simulator window not launched):
    set_global_assignment -name EDA_LAUNCH_CMD_LINE_TOOL ON -section_id eda_simulation
    execute_flow -simulation
  • To generate top-level simulation and .do scripts only and not run simulation:
    set_global_assignment -name EDA_SIMULATION_GENERATE_SCRIPT_ONLY ON -section_id eda_simulation
    execute_flow -simulation