F-Tile JESD204B Intel® FPGA IP Design Example User Guide

ID 729497
Date 10/02/2023
Public
Document Table of Contents

2.4. Simulating the Design Example Testbench

The design example testbench simulates your generated design.

To simulate the design, perform the following steps:

  1. Change the working directory to <example_design_directory>/ed_sim/<Simulator>.
  2. In the command line, run the simulation script. The table below shows the commands to run the supported simulators.
    Simulator Command
    QuestaSim* / ModelSim* vsim -do run_tb_top.tcl
    Aldec Riviera-PRO* vsim -do run_tb_top.tcl
    VCS* sh run_tb_top.sh
    VCS* MX sh run_tb_top.sh
    Xcelium* Parallel sh run_tb_top.sh
    The simulation ends with messages that indicate whether the run was successful or not.