Intel® Quartus® Prime Pro Edition User Guide: Design Recommendations

ID 683082
Date 3/28/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

2.2.1. Considerations for the Intel® Hyperflex™ FPGA Architecture

The Intel® Hyperflex™ FPGA architecture and the Hyper-Retimer require a review of the best design practices to achieve the highest clock rates possible.

While most common techniques of high-speed design apply to designing for the Intel® Hyperflex™ architecture, you must use some new approaches to achieve the highest performance. Follow these general RTL design guidelines to enable the Hyper-Retimer to optimize design performance:

  • Design in a way that facilitates register retiming by the Hyper-Retimer.
  • Use a latency-insensitive design that supports the addition of pipeline stages at clock domain boundaries, top-level I/Os, and at the boundaries of functional blocks.
  • Restructure RTL to avoid performance-limiting loops.

For more information about best design practices targeting Intel® Stratix® 10 devices, refer to the Intel® Stratix® 10 High-Performance Design Handbook.