AN 720: Simulating the ASMI Block in Your Design

ID 683464
Date 7/29/2020
Public

1.3.2. Example: Simulating the ASMI Block in a Stratix® V Device Using Third-Party Flash Devices

To simulate the ASMI block in a Stratix® V device using third-party flash devices, follow these steps:

  1. Create a design which instantiated the ASMI block and set the enable_sim parameter to false.
    Figure 4. Sample Code to Instantiate the ASMI Block
  2. Compile the design in the Intel® Quartus® Prime software and ensure that the design does not contain any syntax error.
  3. Create a wrapper to connect a third-party flash simulation model to the ASMI block through the asmi_sim_model module. Note that the interface for the asmi_sim_model module varies according to devices.
    Figure 5. Sample Code for Wrapper to Connect the Flash Model with the ASMI Block
  4. In a simulation project, compile the following file to your working folder:
    • Flash simulation model
    • asmi_sim_model design wrapper
    • quartus/eda/sim_lib/stratixv_atoms.v or quartus/eda/sim_lib/stratixv_atoms.vhd (if you are not using the ModelSim* - Intel® FPGA Edition software)
      Note: The ModelSim* - Intel® FPGA Edition software contains all device atom libraries, so no compilation is needed.
  5. Run simulation. The FPGA design is connected to the flash simulation model via the ASMI interface.