AN 720: Simulating the ASMI Block in Your Design

ID 683464
Date 7/29/2020
Public

1.3. Simulating the ASMI Block in Your Design

To simulate the ASMI block in your design with a flash simulation model, set the enable_sim parameter by referring to the model of flash device that you are using.

Set the enable_sim parameter to false, if you are using third-party flash devices. Otherwise, the default setting is true, which indicates EPCQ1024.

If you are using third-party devices, create a wrapper with the same module name in the simulation project.

Note: For Cyclone® V, Arria® V, and Stratix® V devices, you can safely ignore if you are prompted Error (170084): Can't route signal "~GND" to atom "<signal hierarchy>" message.