Intel® Quartus® Prime Pro Edition User Guide: Design Optimization

ID 683641
Date 1/07/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

2.1. Device Considerations

All Intel® FPGAs have a unique timing model that contains delay information for all physical elements in the device, such as combinational adaptive logic modules, memory blocks, interconnects, and registers. The delays encompass all valid combinations of operating conditions for the target FPGA. Additionally, the device size and package determine pin-out and the resource availability. When selecting the target Intel® FPGA device for your design, consider the performance specifications of the device.