Embedded Design Handbook

ID 683689
Date 8/28/2023
Public
Document Table of Contents

5.2.4.3.4. QSPI Flash Programming

POF File Programming into QSPI Flash

Programming Parallel Flash Loader into Intel® MAX® 10 Device:

Note: You need to program the parallel flash loader into the Intel® MAX® 10 device before programming the QSPI flash.
  1. Create Parallel Flash Loader for Intel® Quartus® Prime. Assign QSPI pins based on your design. Compile the project to obtain max10_qpfl.sof file.
  2. Open Intel® Quartus® Prime tool (Tools -> Programmer).
  3. Make sure the Hardware Setup is set to USB blaster.
  4. Click on Auto Detect, select your Intel® MAX® 10 FPGA and select OK.
  5. Right click on the Intel® MAX® 10 FPGA and select Edit -> Change File. Choose the max_qpfl.sof file.
  6. Check Intel® MAX® 10 device under Program/Configure and click Start to start programming.
  7. Click on Auto Detect after max10_qpfl.sof is successfully programmed. Click Yes if you are asked to overwrite the existing settings. A new QSPI flash device will be shown on the screen.
    Figure 143. Programming Parallel Flash Loader Programmer Settings

Programming HEX Image into QSPI Flash

  1. Right click on the QSPI device and select Edit -> Change File. Choose the generated POF file from Software POF Generation section.
  2. Check the HEX file under Program/Configure column and click Start to start programming.
    Figure 144. HEX Image Programming into QSPI Flash for Dual Images Configuration Mode

Programming Hardware Design POF File

  1. After you successfully programmed HEX data into Quad SPI flash, right click on the Intel® MAX® 10 FPGA and select Edit -> Change File. Choose the hardware POF file generated from Hardware POF Generation section.
  2. Check the Intel® MAX® 10's CFM0 and UFM under Program/Configure column and click Start to start programming.
    Figure 145. POF Image Programming into QSPI Flash
  3. Reset your FPGA device using the reset button or power cycle your hardware.