External Memory Interfaces (EMIF) IP Design Example User Guide: Agilex™ 5 FPGAs and SoCs

ID 817394
Date 4/01/2024
Public
Document Table of Contents

3.2.1. Running Simulation

To run a simulation, navigate to the simulation directory <example_design_directory>/sim/ed_sim/ and run the simulation script of your choice.

For ModelSim* SE and Siemens* EDA QuestaSim*- Intel FPGA Edition Simulators

  1. At the command prompt, change the working directory to: <example_design_directory>/sim/ed_sim/mentor.
  2. Invoke vsim by typing vsim, which launches a window where you can run the following commands.
  3. Run the following command in the transcript terminal:
    • source msim_setup.tcl
  4. Run the following command:
    • ld_debug
  5. When the command completes, add the desired signal to observe by right-clicking and selecting Add Wave.
    Figure 41. Select Add Wave
  6. To run the simulation, type this command:
    • run -all
  7. A successful simulation ends with the following message: Simulation stopped due to successful completion!

For VCS Simulator

Working directory: <example_design_directory>/sim/ed_sim/synopsys/vcs

To run a simulation in non-interactive mode, follow these steps:

  1. On a single line, type:
    sh vcs_setup.sh USER_DEFINED_COMPILE_OPTIONS="" USER_DEFINED_ELAB_OPTIONS="-xlrm\uniq_prior_final" USER_DEFINED_SIM_OPTIONS=""
  2. A successful simulation ends with the following message: Simulation stopped due to successful completion!

To run a simulation in interactive mode, follow these steps:

Note: If you have already generated a simv executable in noninteractive mode, delete the simv and simv.diadir file within the vcs folder.
  1. Open the vcs_setup.sh file and add a debug command:
    • vcs -debug_access+r
  2. Compile the design example:
    • sh vcs_setup.sh USER_DEFINED_ELAB_OPTIONS="- xlrm\ uniq_prior_final" SKIP_SIM=1
  3. To start the simulation in interactive mode, type the following command in the console:
    • simv -gui&