Questa* Intel® FPGA Edition Simulation User Guide

ID 730191
Date 4/01/2024
Public
Document Table of Contents

3.7.2.1. Compilation Stage - Simulation with IP

The following describes the compilation stage of RTL simulation with one or more IP variants or Platform Designer systems in the design.
  1. Compile your HDL design files and testbench files using vlog and vcom commands, as section Commands to Compile, Elaborate, and Simulate describes.
  2. Do NOT compile the Quartus® Prime simulation library files using Questa* Intel® FPGA Edition. Instead use the precompiled Quartus® Prime simulation libraries included in Questa* Intel® FPGA Edition by specifying their logical library names in the elaboration command, as Elaboration Command Examples illustrates. Also refer to Why You Should Only Use Precompiled Questa Intel FPGA Edition Libraries.
  3. Generate a simulation script for compiling IP RTL files. You can generate a single msim_setup.tcl simulation script that compiles IP RTL simulation files for all of your IP variants (.ip files) and Platform Designer systems(.qsys files), as Generating a msim_setup.tcl Simulation Script describes.
  4. Source the generated msim_setup.tcl file in your compilation script as, Example my_sim.tcl Simulation Script illustrates.