Questa* Intel® FPGA Edition Simulation User Guide

ID 730191
Date 4/01/2024
Public
Document Table of Contents

2.3.3.3. Running the Simulation Library Compiler in the GUI

To automatically compile all required simulation model libraries for your design in your supported simulator using the Simulation Library Compiler GUI, follow these steps:

  1. In the Quartus® Prime software, click Tools > Launch Simulation Library Compiler.
  2. Specify options for your simulation tool, language, target device family, and output location, and then click OK. Simulation model compilation may require up to an hour, depending on your system. Although the compilation messages may appear paused or incomplete, compilation is still running correctly.
Figure 5. Simulation Library Compiler GUI