Questa* Intel® FPGA Edition Simulation User Guide

ID 730191
Date 4/01/2024
Public
Document Table of Contents

3.7. Performing RTL Simulation with Questa* Intel® FPGA Edition

RTL simulation refers to simulating the design files that are the input for design compilation in the Quartus® Prime software. All Quartus® Prime design files for simulation must be available as Verilog HDL, SystemVerilog, or VHDL files. You must first convert any schematic based files (such as .bdf) to HDL files for simulation purposes.

Figure 14.  Questa* Intel® FPGA Edition RTL Simulation Flow


  1. First identify all of the design files required for simulation, and for each file determine any simulator specific compilation options, and the logical library to compile into, as Compiling Files Into Library Directories describes.
    Note: This step is not necessary for RTL files that Platform Designer generates because Platform Designer also generates a simulation script that compiles all the IP RTL files into appropriate logical libraries.
  2. Determine the simulator-specific elaboration options required for elaborating the top-level testbench module, and the simulation commands to simulate the module.
  3. Map the logical library to the library directory by running the vmap Tcl command.
  4. Use the vlog and vcom commands to compile the files into appropriate logical libraries.
  5. Use the vsim command to elaborate the top-level testbench. Refer to Elaboration Command Examples.
  6. Specify simulation commands, such as add wave or log, to capture the signal waveforms. The run command runs the executable simulation model for the top-level testbench generated by the elaboration. The quit command to ends the simulation run.
Note: If simulating an IP example design, be aware that IP example designs for simulation include a testbench to simulate the example design, and a simulation script that executes all of the commands to perform compilation, elaboration, and simulation.